当前位置: 首页 > news >正文

南海网站智能推广网页设计公司简介代码

南海网站智能推广,网页设计公司简介代码,网站生成手机页面,网建会N位分频器的实现 一、 目的 使用verilog实现n位的分频器#xff0c;可以是偶数#xff0c;也可以是奇数 二、 原理 FPGA中n位分频器的工作原理可以简要概括为: 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。n位分频器可以将输入时钟频率分频2^n倍…N位分频器的实现 一、 目的 使用verilog实现n位的分频器可以是偶数也可以是奇数 二、 原理 FPGA中n位分频器的工作原理可以简要概括为: 分频器的作用是将输入时钟频率分频,输出低于输入时钟频率的时钟信号。n位分频器可以将输入时钟频率分频2^n倍。n位分频器主要由n个触发器级联组成。第一个触发器时钟输入接入输入时钟,数据端接D0。后级触发器的时钟依次接前一级触发器的反相Q信号。通过这种级联,将输入时钟周期分成2^n个阶段。 7.最后一个触发器Q输出即为分频之后的时钟信号。 更改计数器位数n,可以灵活改变分频比例。分频器广泛应用于计数器、时序逻辑的时钟分频等场景。 所以n位分频器通过n个触发器的级联,利用反相Q输出产生2^n分频效果,是FPGA时钟管理中的重要组成部分。 三、 系统架构设计 工程模块划分及说明 四、 代码实现 1 模块端口信号列表 module divider #(parameter N 9)(input wire clk,input wire rst_n,output wire out ); reg[N:0] cnt1;//上升沿计数器 reg[N:0] cnt2;//下降沿计数器 wire add_cnt1;//上升沿开始条件 wire end_cnt1;//上升沿结束条件 wire add_cnt2;//下降沿开始条件 wire end_cnt2;//下降沿结束条件 always (posedge clk or negedge rst_n) beginif(~rst_n) begincnt1d0;endelse if(add_cnt1)beginif(end_cnt1)begincnt1 d0;endelse begincnt1 cnt1 1b1;endendelse begincnt1cnt1;end endalways (negedge clk or negedge rst_n) beginif(~rst_n) begincnt2d0;endelse if(add_cnt2)beginif(end_cnt2)begincnt2 d0;endelse begincnt2 cnt2 1b1;endendelse begincnt2cnt2;end endassign add_cnt1 1b1; assign end_cnt1 add_cnt1 cnt1 N; assign add_cnt2 1b1; assign end_cnt2 add_cnt2 cnt2 N;assign out (N%20)?((cnt2(N1))(cnt1(N1))?1b0:1b1):((cnt1(N1)?1b0:1b1);endmodule测试文件 module driver_n_tb(); reg clk; reg rst_n; wire out; parameter SYS_CLK 20; parameter N 8; always #(SYS_CLK/2) clk ~clk;initial beginclk1b0;rst_n1b0;#(2*SYS_CLK10);rst_n1b1;#(100*SYS_CLK);$stop; end divider #(.N(N)) inst_divider_n (.clk(clk), .rst_n(rst_n), .out(out));endmodule五 时序及仿真结果 偶数 奇数 五、 仿真波形图 偶数 奇数
http://www.huolong8.cn/news/397420/

相关文章:

  • 网站建站分为两种PS做任务的网站
  • jsp网站 iis做不锈钢管网站
  • 茂名seo网站建设青岛注册公司网站
  • 广告创意图片网站推广优化排名公司
  • 景县网站建设公司平面设计公司电话
  • 怀化招标网站信息系统开发流程
  • 手机网站是怎么做的网站素材
  • 义乌做网站的公司wordpress视频代码
  • 付公司网站费用怎么做分录做it的在哪个网站找工作
  • 在线建站网页制作网站建设平台品牌营销策划岗位职责
  • 网站开发的微端是什么苏州市建设局网站首页
  • 利用博客做网站南充做网站多少钱
  • 巢湖网站建设费用手机客户端app开发
  • wordpress本地环境迁移seo广告优化多少钱
  • 做网站要什么功能wordpress 加密 插件
  • 武钢建工集团建设分公司网站网站建设公司logo
  • 网站设计维护内容企业网站优化方案案例
  • 建设银行网站登录如何转账手机域名注册被骗
  • 网站引用优酷建设一个网站大概费用
  • 网站建设制作公司思企互联口碑好网站建设定制
  • 手机网站适配中卫网络工程招聘
  • 门户网站功能清单哪里可以找到免费的源码
  • 网站服务器和空间大小用国外服务器做违法网站
  • 企业注册阿里账号网站建设网站建设工作台账
  • 上海html5网站制作公司如何做网页图片
  • 自助建站软件公司成都建设网站平台
  • 建网站商城有哪些公司南京网站制作电话
  • iis .net 网站架设北京中小企业网站建设
  • 用于做分析图 的地图网站手机医疗网站模板
  • 南宁设计网站建设中国建设银行网站首页joy